PCIE中的CFGBVS(Configuration Bank Voltage Select)和VCCO

07-21 1437阅读

CFGBVS(Configuration Bank Voltage Select)和VCCO_0(IO电源电压)之间有密切的关系,它们共同影响着Xilinx FPGA中特定BANK的IO电平标准和配置。

PCIE中的CFGBVS(Configuration Bank Voltage Select)和VCCO
(图片来源网络,侵删)

关系解释:

  1. 功能和作用:

    • VCCO_0:代表了BANK中所有IO的电源电压标准。可以选择的电压包括3.3V、2.5V、1.8V、1.5V等,具体取决于具体的FPGA型号和器件规格。
    • CFGBVS:是用来选择配置BANK中的电平标准的信号。它决定了配置BANK中IO电平的工作条件和范围。
    • 关联规则:

      • 一致性要求:在大多数情况下,CFGBVS的设置必须与VCCO_0保持一致。
        • 当VCCO_0设置为3.3V或2.5V时,CFGBVS必须选择与之相对应的电压标准。
        • 当VCCO_0设置为1.8V或1.5V时,CFGBVS通常需要接地,表示使用低电平标准。
        • 具体应用:

          • 3.3V或2.5V配置:如果BANK的IO电压标准为3.3V或2.5V,那么CFGBVS必须设置为相同的电平,以确保IO能够正确地工作和配置。
          • 1.8V或1.5V配置:如果BANK的IO电压标准为1.8V或1.5V,CFGBVS一般连接到地,表示使用低电平标准,这样可以避免不必要的电平冲突和不稳定性。
          • 特殊情况:

            • 在特定型号的Xilinx FPGA中,如Virtex-7 HT器件,可能没有CFGBVS引脚,而是直接限制了只能使用1.8V电平标准。

总结:

CFGBVS和VCCO_0的关系在Xilinx FPGA中是确保IO电平标准和配置正确的关键。通过正确设置CFGBVS与VCCO_0的一致性,可以保证FPGA中特定BANK的IO能够在安全和稳定的电气条件下正常工作,从而确保整个系统的可靠性和性能。

VPS购买请点击我

文章版权声明:除非注明,否则均为主机测评原创文章,转载或复制请以超链接形式并注明出处。

目录[+]